-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:30:03 03/15/2010 -- Design Name: -- Module Name: D:/Xilinx/Workspace/Failsafe/pw_checker_tb2.vhd -- Project Name: Failsafe -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: pw_checker -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY pw_checker_tb2 IS END pw_checker_tb2; ARCHITECTURE behavior OF pw_checker_tb2 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT pw_checker PORT( input : IN std_logic; rst : IN std_logic; clk : IN std_logic; output : OUT std_logic ); END COMPONENT; --Inputs signal input : std_logic := '0'; signal rst : std_logic := '0'; signal clk : std_logic := '0'; --Outputs signal output : std_logic; -- Clock period definitions constant clk_period : time := 1us; BEGIN -- Instantiate the Unit Under Test (UUT) uut: pw_checker PORT MAP ( input => input, rst => rst, clk => clk, output => output ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for 100ms; wait for clk_period*10; -- insert stimulus here wait; end process; END;