---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:08:24 03/04/2010 -- Design Name: -- Module Name: pass2 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pass2 is Port ( intput : in STD_LOGIC; output : out STD_LOGIC); end pass2; architecture Behavioral of pass2 is begin end Behavioral;