---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:24:29 03/04/2010 -- Design Name: -- Module Name: top_lvl - Structural -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top_lvl is end top_lvl; architecture Structural of top_lvl is begin end Structural;