---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:50:08 04/06/2010 -- Design Name: -- Module Name: rising_edge_diff - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity rising_edge_diff is generic( sample : natural := 3); Port ( input : in STD_LOGIC; clk : in STD_LOGIC; output : out STD_LOGIC); end rising_edge_diff; architecture Behavioral of rising_edge_diff is begin process end Behavioral;